OpenASIP  2.0
VhdlImageWriter.hh
Go to the documentation of this file.
1 /*
2  Copyright (c) 2002-2010 Tampere University.
3 
4  This file is part of TTA-Based Codesign Environment (TCE).
5 
6  Permission is hereby granted, free of charge, to any person obtaining a
7  copy of this software and associated documentation files (the "Software"),
8  to deal in the Software without restriction, including without limitation
9  the rights to use, copy, modify, merge, publish, distribute, sublicense,
10  and/or sell copies of the Software, and to permit persons to whom the
11  Software is furnished to do so, subject to the following conditions:
12 
13  The above copyright notice and this permission notice shall be included in
14  all copies or substantial portions of the Software.
15 
16  THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
17  IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
18  FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL
19  THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
20  LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
21  FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER
22  DEALINGS IN THE SOFTWARE.
23  */
24 /**
25  * @file VhdlImageWriter.hh
26  *
27  * Declaration of VhdlImageWriter class
28  *
29  * @author Otto Esko 2010 (otto.esko-no.spam-tut.fi)
30  * @note rating: red
31  */
32 
33 #include <iostream>
34 #include "BitVector.hh"
35 #include "ArrayImageWriter.hh"
36 
37 #ifndef TTA_VHDL_IMAGE_WRITER_HH
38 #define TTA_VHDL_IMAGE_WRITER_HH
39 
40 /**
41  * Writes the (instruction) bit image to a vhdl package.
42  */
44 public:
46  int rowLength,
47  const std::string& entityName);
48  virtual ~VhdlImageWriter();
49 
50  virtual void writeImage(std::ostream& stream) const;
51 
52 private:
53  void writeHeader(std::ostream& stream) const;
54 
55  void writeEnding(std::ostream& stream) const;
56 
57  std::string packageName() const;
58 
59  std::string entityName_;
60 };
61 
62 #endif
VhdlImageWriter::VhdlImageWriter
VhdlImageWriter(const BitVector &bits, int rowLength, const std::string &entityName)
Definition: VhdlImageWriter.cc:45
VhdlImageWriter::entityName_
std::string entityName_
Definition: VhdlImageWriter.hh:59
BitVector
Definition: BitVector.hh:44
AsciiImageWriter::rowLength
int rowLength() const
Definition: AsciiImageWriter.cc:112
BitVector.hh
ArrayImageWriter.hh
VhdlImageWriter::writeImage
virtual void writeImage(std::ostream &stream) const
Definition: VhdlImageWriter.cc:63
VhdlImageWriter::~VhdlImageWriter
virtual ~VhdlImageWriter()
Definition: VhdlImageWriter.cc:55
VhdlImageWriter
Definition: VhdlImageWriter.hh:43
ArrayImageWriter
Definition: ArrayImageWriter.hh:43
AsciiImageWriter::bits
const BitVector & bits() const
Definition: AsciiImageWriter.cc:101
VhdlImageWriter::writeHeader
void writeHeader(std::ostream &stream) const
Definition: VhdlImageWriter.cc:73
VhdlImageWriter::writeEnding
void writeEnding(std::ostream &stream) const
Definition: VhdlImageWriter.cc:89
VhdlImageWriter::packageName
std::string packageName() const
Definition: VhdlImageWriter.cc:95