OpenASIP  2.0
VhdlRomGenerator.hh
Go to the documentation of this file.
1 /*
2  Copyright (c) 2002-2010 Tampere University.
3 
4  This file is part of TTA-Based Codesign Environment (TCE).
5 
6  Permission is hereby granted, free of charge, to any person obtaining a
7  copy of this software and associated documentation files (the "Software"),
8  to deal in the Software without restriction, including without limitation
9  the rights to use, copy, modify, merge, publish, distribute, sublicense,
10  and/or sell copies of the Software, and to permit persons to whom the
11  Software is furnished to do so, subject to the following conditions:
12 
13  The above copyright notice and this permission notice shall be included in
14  all copies or substantial portions of the Software.
15 
16  THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
17  IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
18  FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL
19  THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
20  LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
21  FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER
22  DEALINGS IN THE SOFTWARE.
23  */
24 /**
25  * @file VhdlRomGenerator.hh
26  *
27  * Declaration of VhdlRomGenerator class.
28  *
29  * @author Otto Esko 2010 (otto.esko-no.spam-tut.fi)
30  * @note rating: red
31  */
32 
33 #ifndef TTA_VHDL_ROM_GENERATOR_HH
34 #define TTA_VHDL_ROM_GENERATOR_HH
35 
36 #include <iostream>
37 #include <vector>
38 #include "MemoryGenerator.hh"
39 #include "PlatformIntegrator.hh"
40 #include "TCEString.hh"
41 
43 public:
44 
46  int memMauWidth,
47  int widthInMaus,
48  int addrWidth,
49  TCEString initFile,
50  const PlatformIntegrator* integrator,
51  std::ostream& warningStream,
52  std::ostream& errorStream);
53 
54  virtual ~VhdlRomGenerator();
55 
56  virtual bool generatesComponentHdlFile() const;
57 
58  virtual std::vector<TCEString>
59  generateComponentFile(TCEString outputPath);
60 
61 protected:
62 
63  virtual TCEString moduleName() const;
64 
65  virtual TCEString instanceName(int coreId, int memIndex) const;
66 
67 private:
68 
70 };
71 
72 #endif
MemoryGenerator.hh
VhdlRomGenerator::~VhdlRomGenerator
virtual ~VhdlRomGenerator()
Definition: VhdlRomGenerator.cc:83
TCEString.hh
VhdlRomGenerator::generateComponentFile
virtual std::vector< TCEString > generateComponentFile(TCEString outputPath)
Definition: VhdlRomGenerator.cc:94
VhdlRomGenerator::moduleName
virtual TCEString moduleName() const
Definition: VhdlRomGenerator.cc:158
VhdlRomGenerator
Definition: VhdlRomGenerator.hh:42
MemoryGenerator::warningStream
std::ostream & warningStream()
Definition: MemoryGenerator.cc:231
VhdlRomGenerator::instanceName
virtual TCEString instanceName(int coreId, int memIndex) const
Definition: VhdlRomGenerator.cc:165
VhdlRomGenerator::imagePackageName
TCEString imagePackageName() const
Definition: VhdlRomGenerator.cc:172
TCEString
Definition: TCEString.hh:53
PlatformIntegrator.hh
VhdlRomGenerator::generatesComponentHdlFile
virtual bool generatesComponentHdlFile() const
Definition: VhdlRomGenerator.cc:88
PlatformIntegrator
Definition: PlatformIntegrator.hh:65
MemoryGenerator::errorStream
std::ostream & errorStream()
Definition: MemoryGenerator.cc:237
VhdlRomGenerator::VhdlRomGenerator
VhdlRomGenerator(int memMauWidth, int widthInMaus, int addrWidth, TCEString initFile, const PlatformIntegrator *integrator, std::ostream &warningStream, std::ostream &errorStream)
Definition: VhdlRomGenerator.cc:50
MemoryGenerator
Definition: MemoryGenerator.hh:85