OpenASIP  2.0
FUTestbenchGenerator Member List

This is the complete list of members for FUTestbenchGenerator, including all inherited members.

bindingStream()TestbenchGeneratorprotected
componentBinding_TestbenchGeneratorprivate
componentDeclaration_TestbenchGeneratorprivate
componentInstantiation_TestbenchGeneratorprivate
createMachineState()FUTestbenchGeneratorprivate
createStimulus()FUTestbenchGeneratorprivate
createStimulusArrays(PortDataArray &inputStimulus, std::vector< uint32_t > &loadStimulus, std::vector< std::string > &operations, PortDataArray &outputStimulus)FUTestbenchGeneratorprivate
createTbCode()FUTestbenchGeneratorprivate
createTbInstantiation()FUTestbenchGeneratorprivate
declarationStream()TestbenchGeneratorprotected
findVhdlTemplate() constTestbenchGeneratorprivate
fuArch_FUTestbenchGeneratorprivate
fuEntry_FUTestbenchGeneratorprivate
fuImpl_FUTestbenchGeneratorprivate
FUTestbenchGenerator(HDB::FUEntry *fu)FUTestbenchGenerator
generateTestbench(std::ofstream &file)FUTestbenchGeneratorvirtual
inputArrays_TestbenchGeneratorprivate
inputArrayStream()TestbenchGeneratorprotected
inputPorts_FUTestbenchGeneratorprivate
instantiationStream()TestbenchGeneratorprotected
isShiftOrRotOp(const std::string &operation) constFUTestbenchGeneratorprivate
loadArrayStream()TestbenchGeneratorprotected
loadSignalArrays_TestbenchGeneratorprivate
loadVhdlTemplate(const std::string &fileName, std::string &vhdlTemplate) constTestbenchGeneratorprivate
machine_FUTestbenchGeneratorprivate
memSystem_FUTestbenchGeneratorprivate
msm_FUTestbenchGeneratorprivate
opcodeArrays_TestbenchGeneratorprivate
opcodeArrayStream()TestbenchGeneratorprotected
opcodePort_FUTestbenchGeneratorprivate
outputArrays_TestbenchGeneratorprivate
outputArrayStream()TestbenchGeneratorprotected
outputPorts_FUTestbenchGeneratorprivate
parseFuPorts()FUTestbenchGeneratorprivate
PortDataArray typedefTestbenchGeneratorprotected
readValuesFromOutPorts(PortDataArray &outputs)FUTestbenchGeneratorprivate
signalDeclaration_TestbenchGeneratorprivate
signalStream()TestbenchGeneratorprotected
TB_TEMPLATE_TestbenchGeneratorprivatestatic
tbCodeStream()TestbenchGeneratorprotected
testbenchCode_TestbenchGeneratorprivate
TestbenchGenerator()TestbenchGenerator
truncateStimulus(uint32_t operand, int nBits) constFUTestbenchGeneratorprivate
writeInputPortStimulus(PortDataArray &inputs, const std::string &operation, const std::string &portName, uint32_t stimulus)FUTestbenchGeneratorprivate
writeStimulusArray(std::ostringstream &stream, std::vector< uint32_t > &dataArray, std::string portName, int portWidth)TestbenchGeneratorprotectedvirtual
writeTbConstants(int totalCycles, int outputIgnoreCycles)TestbenchGeneratorprotected
writeTestbench(std::ofstream &file, HDB::HWBlockImplementation *impl)TestbenchGeneratorprotected
~FUTestbenchGenerator()FUTestbenchGeneratorvirtual
~TestbenchGenerator()TestbenchGeneratorvirtual