OpenASIP  2.0
ProGeScriptGenerator Member List

This is the complete list of members for ProGeScriptGenerator, including all inherited members.

createExecutableFile(const std::string &fileName)ProGeScriptGeneratorprivate
dstDir_ProGeScriptGeneratorprivate
fetchFiles()ProGeScriptGeneratorprivate
findFiles(const std::string &perlre, T files, std::list< std::string > &found)ProGeScriptGeneratorprivate
findFiles(const std::string &perlre, T &files)ProGeScriptGeneratorprivate
findText(const std::string &perlre, const unsigned int &matchRegion, const std::string &fileName, std::list< std::string > &found)ProGeScriptGeneratorprivate
gcuicDir_ProGeScriptGeneratorprivate
gcuicFiles_ProGeScriptGeneratorprivate
generateAll()ProGeScriptGenerator
generateCompileStart(std::ostream &stream)ProGeScriptGeneratorprivate
generateGhdlCompile()ProGeScriptGenerator
generateGhdlSimulate()ProGeScriptGenerator
generateIverilogCompile()ProGeScriptGenerator
generateIverilogSimulate()ProGeScriptGenerator
generateModsimCompile()ProGeScriptGenerator
generateModsimSimulate()ProGeScriptGenerator
generateSimulationStart(std::ostream &stream)ProGeScriptGeneratorprivate
getBlockOrder(std::list< std::string > &order)ProGeScriptGeneratorprivate
ghdlCompileScriptName_ProGeScriptGeneratorprivate
ghdlSimulateScriptName_ProGeScriptGeneratorprivate
idf_ProGeScriptGeneratorprivate
iverilogCompileScriptName_ProGeScriptGeneratorprivate
iverilogSimulateScriptName_ProGeScriptGeneratorprivate
language_ProGeScriptGeneratorprivate
modsimCompileScriptName_ProGeScriptGeneratorprivate
modsimSimulateScriptName_ProGeScriptGeneratorprivate
outputScriptCommand(std::ostream &stream, const std::string &file, const std::string &cmdPrefix, const std::string &cmdPostfix)ProGeScriptGeneratorprivate
outputScriptCommands(std::ostream &stream, const std::list< std::string > &files, const std::string &cmdPrefix, const std::string &cmdPostfix)ProGeScriptGeneratorprivate
packageFilesFirst()ProGeScriptGeneratorprivate
prefixStrings(std::list< std::string > &tlist, const std::string &prefix, int start=0, int end=-1)ProGeScriptGeneratorprivate
prepareFiles()ProGeScriptGeneratorprivate
progeOutDir_ProGeScriptGeneratorprivate
ProGeScriptGenerator(const ProGe::HDL language, const IDF::MachineImplementation &idf, const std::string &dstDir, const std::string &progeOutDir, const std::string &sharedOutDir, const std::string &testBenchDir, const std::string &toplevelEntity, const std::string &simulationRuntime="52390")ProGeScriptGenerator
sharedOutDir_ProGeScriptGeneratorprivate
simulationRuntime_ProGeScriptGeneratorprivate
sortFilesFirst(std::list< std::string > &toSort, std::list< std::string > &acSort)ProGeScriptGeneratorprivate
sortFilesLast(std::list< std::string > &toSort, std::list< std::string > &acSort)ProGeScriptGeneratorprivate
tbDir_ProGeScriptGeneratorprivate
testBenchDir_ProGeScriptGeneratorprivate
testBenchFiles_ProGeScriptGeneratorprivate
testbenchName_ProGeScriptGeneratorprivate
toplevelEntity_ProGeScriptGeneratorprivate
uniqueFileNames(CONT &files, const std::string &rootDirectory)ProGeScriptGeneratorprivate
verDir_ProGeScriptGeneratorprivate
vhdlDir_ProGeScriptGeneratorprivate
vhdlFiles_ProGeScriptGeneratorprivate
workDir_ProGeScriptGeneratorprivate
~ProGeScriptGenerator()ProGeScriptGeneratorvirtual