OpenASIP  2.0
VhdlRomGenerator Member List

This is the complete list of members for VhdlRomGenerator, including all inherited members.

addLsu(TTAMachine::FunctionUnit &lsuArch, std::vector< std::string > lsuPorts)MemoryGenerator
addMemory(const ProGe::NetlistBlock &ttaCore, ProGe::NetlistBlock &integratorBlock, int memIndex, int coreId)MemoryGeneratorvirtual
addParameter(const ProGe::Parameter &add)MemoryGeneratorprotected
addPort(const TCEString &name, HDLPort *port)MemoryGeneratorprotected
addrWidth_MemoryGeneratorprivate
BlockPair typedefMemoryGeneratorprotected
checkFuPort(const std::string fuPort, std::vector< TCEString > &reasons) constMemoryGeneratorprotectedvirtual
CLOCK_PORTMemoryGeneratorprivatestatic
connectPorts(ProGe::NetlistBlock &netlistBlock, const ProGe::NetlistPort &memPort, const ProGe::NetlistPort &corePort, bool inverted, int coreId)MemoryGeneratorprotectedvirtual
corePortName(const TCEString &portBaseName, int coreId) constMemoryGeneratorprotected
createMemoryNetlistBlock(ProGe::NetlistBlock &integratorBlock, int memIndex, int coreId)MemoryGeneratorprotectedvirtual
errorStream()MemoryGeneratorprotected
errorStream_MemoryGeneratorprivate
generateComponentFile(TCEString outputPath)VhdlRomGeneratorvirtual
generatesComponentHdlFile() constVhdlRomGeneratorvirtual
hasLSUArchitecture() constMemoryGeneratorprotected
imagePackageName() constVhdlRomGeneratorprivate
initFile_MemoryGeneratorprivate
initializationFile() constMemoryGenerator
instanceName(int coreId, int memIndex) constVhdlRomGeneratorprotectedvirtual
instantiateTemplate(const TCEString &inFile, const TCEString &outFile, const TCEString &entity) constMemoryGeneratorprotected
integrator_MemoryGeneratorprivate
isCompatible(const ProGe::NetlistBlock &ttaCore, int coreId, std::vector< TCEString > &reasons) constMemoryGeneratorvirtual
lsuArch_MemoryGeneratorprivate
lsuArchitecture() constMemoryGeneratorprotected
lsuPorts_MemoryGeneratorprivate
mauWidth_MemoryGeneratorprivate
memoryAddrWidth() constMemoryGenerator
MemoryGenerator(int memMauWidth, int widthInMaus, int addrWidth, TCEString initFile, const PlatformIntegrator *integrator, std::ostream &warningStream, std::ostream &errorStream)MemoryGenerator
memoryIndexString(int coreId, int memIndex) constMemoryGeneratorprotected
memoryMauSize() constMemoryGenerator
memoryTotalWidth() constMemoryGenerator
memoryWidthInMaus() constMemoryGenerator
memPorts_MemoryGeneratorprivate
moduleName() constVhdlRomGeneratorprotectedvirtual
parameter(int index) constMemoryGeneratorprotected
parameterCount() constMemoryGeneratorprotected
ParameterList typedefMemoryGeneratorprivate
params_MemoryGeneratorprivate
platformIntegrator() constMemoryGeneratorprotected
port(int index) constMemoryGeneratorprotected
portByKeyName(TCEString name) constMemoryGeneratorprotected
portCount() constMemoryGeneratorprotected
portKeyName(const HDLPort *port) constMemoryGeneratorprotected
PortMap typedefMemoryGeneratorprotected
RESET_PORTMemoryGeneratorprivatestatic
templatePath() constMemoryGeneratorprotected
ttaCoreName() constMemoryGeneratorprotected
VhdlRomGenerator(int memMauWidth, int widthInMaus, int addrWidth, TCEString initFile, const PlatformIntegrator *integrator, std::ostream &warningStream, std::ostream &errorStream)VhdlRomGenerator
warningStream()MemoryGeneratorprotected
warningStream_MemoryGeneratorprivate
widthInMaus_MemoryGeneratorprivate
~MemoryGenerator()MemoryGeneratorvirtual
~VhdlRomGenerator()VhdlRomGeneratorvirtual