OpenASIP  2.0
HDLGenerator::Module Member List

This is the complete list of members for HDLGenerator::Module, including all inherited members.

addComponent(Component c)HDLGenerator::Generatableinline
appendToHeader(const std::string &line)HDLGenerator::Moduleinline
behaviours_HDLGenerator::Moduleprivate
binaryConstants_HDLGenerator::Moduleprivate
build() finalHDLGenerator::Moduleinlinevirtual
clear()HDLGenerator::Moduleinlineprivate
components_HDLGenerator::Generatableprivate
constants_HDLGenerator::Moduleprivate
declare(std::ostream &stream, Language lang, int level)HDLGenerator::Moduleinline
forAll(Func func)HDLGenerator::Generatableinline
forAll(Func func)HDLGenerator::Generatableinline
Generatable(std::string name)HDLGenerator::Generatableinline
getRegister(const std::string &var) finalHDLGenerator::Moduleinlinevirtual
hasOption(const std::string &var) finalHDLGenerator::Moduleinlinevirtual
hdl(std::ostream &stream, Language lang, int indent)HDLGenerator::Generatableinlinevirtual
hdl(std::ostream &stream, Language lang)HDLGenerator::Generatableinlinevirtual
headerComment_HDLGenerator::Moduleprivate
id_HDLGenerator::Moduleprivate
implement(std::ostream &stream, Language lang, int level=0)HDLGenerator::Moduleinline
implementAll(std::ostream &stream, Language lang)HDLGenerator::Generatableinlinevirtual
implementAll(std::ostream &stream, Language lang, int indent)HDLGenerator::Generatableinlinevirtual
instantiate(std::ostream &stream, Language lang, int level)HDLGenerator::Moduleinline
integerWidth(const std::string &name)HDLGenerator::Generatableinline
isConstant(const std::string &name) finalHDLGenerator::Moduleinlinevirtual
isRegister(const std::string &name) finalHDLGenerator::Moduleinlinevirtual
isVariable(const std::string &name) finalHDLGenerator::Moduleinlinevirtual
Module(std::string name)HDLGenerator::Moduleinline
Module(ipxact::ModuleInfo info, int id)HDLGenerator::Moduleinline
modules_HDLGenerator::Moduleprivate
name() const noexceptHDLGenerator::Generatableinline
name_HDLGenerator::Generatableprivate
operator<<(Behaviour &rhs)HDLGenerator::Moduleinline
operator<<(Behaviour &&rhs)HDLGenerator::Moduleinline
operator<<(Port &&port)HDLGenerator::Moduleinline
operator<<(Parameter &&param)HDLGenerator::Moduleinline
operator<<(IntegerConstant &&constant)HDLGenerator::Moduleinline
operator<<(BinaryConstant &&constant)HDLGenerator::Moduleinline
operator<<(Wire &&wire)HDLGenerator::Moduleinline
operator<<(Register &&reg)HDLGenerator::Moduleinline
operator<<(Register &reg)HDLGenerator::Moduleinline
operator<<(Option &&opt)HDLGenerator::Moduleinline
operator<<(Module &&rhs)HDLGenerator::Moduleinline
operator<<(Module &rhs)HDLGenerator::Moduleinline
options_HDLGenerator::Moduleprivate
parameters_HDLGenerator::Moduleprivate
parent() const noexceptHDLGenerator::Generatableinline
parent_HDLGenerator::Generatableprivate
parentIs()HDLGenerator::Generatableinline
parentType()HDLGenerator::Generatableinline
ports_HDLGenerator::Moduleprivate
prefix_HDLGenerator::Moduleprivate
pushComponent(std::shared_ptr< Generatable > c)HDLGenerator::Generatableinline
reads(const std::string &var) finalHDLGenerator::Moduleinlinevirtual
HDLGenerator::Generatable::reads(const LHSValue &var)HDLGenerator::Generatableinlinevirtual
registers_HDLGenerator::Moduleprivate
registerVariable(const std::shared_ptr< Variable > var)HDLGenerator::Moduleinline
set_header()HDLGenerator::Moduleinline
set_prefix(std::string prefix)HDLGenerator::Moduleinline
setParent(Generatable *parent) noexceptHDLGenerator::Generatableinline
variables_HDLGenerator::Moduleprivate
width(const std::string &name) finalHDLGenerator::Moduleinlinevirtual
HDLGenerator::Generatable::width()HDLGenerator::Generatableinlinevirtual
wires_HDLGenerator::Moduleprivate
wireType(const std::string &name) finalHDLGenerator::Moduleinlinevirtual
HDLGenerator::Generatable::wireType() constHDLGenerator::Generatableinlinevirtual
writes(const std::string &var) finalHDLGenerator::Moduleinlinevirtual
~Generatable()=defaultHDLGenerator::Generatablevirtual