OpenASIP  2.0
ProGe::VHDLNetlistWriter Member List

This is the complete list of members for ProGe::VHDLNetlistWriter, including all inherited members.

directionString(Direction direction)ProGe::VHDLNetlistWriterprivatestatic
edge_descriptor typedefProGe::VHDLNetlistWriterprivate
generateIndentation(unsigned int level, const std::string &indentation)ProGe::VHDLNetlistWriterprivatestatic
genericMapStringValue(const TCEString &generic) constProGe::VHDLNetlistWriterprivate
groundWidth_ProGe::VHDLNetlistWriterprivate
indentation(unsigned int level) constProGe::VHDLNetlistWriterprivate
isNumber(const std::string &formula)ProGe::VHDLNetlistWriterprivatestatic
netlistBlock_ProGe::NetlistWriterprivate
netlistParameterPkgName() constProGe::VHDLNetlistWriterprivate
NetlistWriter(const BaseNetlistBlock &targetBlock)ProGe::NetlistWriter
out_edge_iterator typedefProGe::VHDLNetlistWriterprivate
parameterWidthValue(const NetlistPort &port)ProGe::VHDLNetlistWriterprivatestatic
portSignalName(const NetlistPort &port)ProGe::VHDLNetlistWriterprivatestatic
portSignalType(const NetlistPort &port)ProGe::VHDLNetlistWriterprivatestatic
signalAssignment(const NetlistPort &dst, const NetlistPort &src)ProGe::VHDLNetlistWriterprivatestatic
signalRange(int high, int low, bool allowShort=false)ProGe::VHDLNetlistWriterprivatestatic
targetNetlistBlock() constProGe::NetlistWriterprotected
usesParameterWidth(const NetlistPort &port)ProGe::VHDLNetlistWriterprivatestatic
vertex_descriptor typedefProGe::VHDLNetlistWriterprivate
VHDLNetlistWriter(const BaseNetlistBlock &targetBlock)ProGe::VHDLNetlistWriter
write(const std::string &dstDirectory)ProGe::VHDLNetlistWritervirtual
writeBlock(const BaseNetlistBlock &block, const std::string &dstDirectory)ProGe::VHDLNetlistWriterprivate
writeComponentDeclarations(const BaseNetlistBlock &block, std::ofstream &stream) constProGe::VHDLNetlistWriterprivate
writeConnection(const BaseNetlistBlock &block, std::ofstream &stream, edge_descriptor edgeDescriptor, NetlistPort *srcPort, NetlistPort *dstPort) constProGe::VHDLNetlistWriterprivate
writeGenericDeclaration(const BaseNetlistBlock &block, unsigned int indentationLevel, const std::string &indentation, std::ostream &stream)ProGe::VHDLNetlistWriterstatic
writeNetlistParameterPackage(const std::string &dstDirectory) constProGe::VHDLNetlistWriterprivate
writePortDeclaration(const BaseNetlistBlock &block, unsigned int indentationLevel, const std::string &indentation, std::ostream &stream)ProGe::VHDLNetlistWriterstatic
writePortMappings(const BaseNetlistBlock &block, std::ofstream &stream) constProGe::VHDLNetlistWriterprivate
writeSignalAssignments(const BaseNetlistBlock &block, std::ofstream &stream) constProGe::VHDLNetlistWriterprivate
writeSignalDeclarations(const BaseNetlistBlock &block, std::ofstream &stream)ProGe::VHDLNetlistWriterprivate
~NetlistWriter()ProGe::NetlistWritervirtual
~VHDLNetlistWriter()ProGe::VHDLNetlistWritervirtual