OpenASIP  2.0
RFTestbenchGenerator Member List

This is the complete list of members for RFTestbenchGenerator, including all inherited members.

bindingStream()TestbenchGeneratorprotected
componentBinding_TestbenchGeneratorprivate
componentDeclaration_TestbenchGeneratorprivate
componentInstantiation_TestbenchGeneratorprivate
createMachineState()RFTestbenchGeneratorprivate
createStimulus()RFTestbenchGeneratorprivate
createStimulusArrays(PortDataArray &inputData, PortDataArray &inputOpcode, PortDataArray &inputLoad, PortDataArray &outputData, PortDataArray &outputOpcode, PortDataArray &outputLoad)RFTestbenchGeneratorprivate
createTbCode()RFTestbenchGeneratorprivate
createTbInstantiation()RFTestbenchGeneratorprivate
declarationStream()TestbenchGeneratorprotected
findVhdlTemplate() constTestbenchGeneratorprivate
generateTestbench(std::ofstream &file)RFTestbenchGeneratorvirtual
inputArrays_TestbenchGeneratorprivate
inputArrayStream()TestbenchGeneratorprotected
inputLoadPorts_RFTestbenchGeneratorprivate
inputOpcodePorts_RFTestbenchGeneratorprivate
inputPorts_RFTestbenchGeneratorprivate
instantiationStream()TestbenchGeneratorprotected
loadArrayStream()TestbenchGeneratorprotected
loadSignalArrays_TestbenchGeneratorprivate
loadVhdlTemplate(const std::string &fileName, std::string &vhdlTemplate) constTestbenchGeneratorprivate
machine_RFTestbenchGeneratorprivate
machRf_RFTestbenchGeneratorprivate
memSystem_RFTestbenchGeneratorprivate
msm_RFTestbenchGeneratorprivate
opcodeArrays_TestbenchGeneratorprivate
opcodeArrayStream()TestbenchGeneratorprotected
opcodePortWidth() constRFTestbenchGeneratorprivate
outputArrays_TestbenchGeneratorprivate
outputArrayStream()TestbenchGeneratorprotected
outputLoadPorts_RFTestbenchGeneratorprivate
outputOpcodePorts_RFTestbenchGeneratorprivate
outputPorts_RFTestbenchGeneratorprivate
parseRfPorts()RFTestbenchGeneratorprivate
PortDataArray typedefTestbenchGeneratorprotected
RF_NAME_RFTestbenchGeneratorprivatestatic
rfArch_RFTestbenchGeneratorprivate
rfEntry_RFTestbenchGeneratorprivate
rfImpl_RFTestbenchGeneratorprivate
RFTestbenchGenerator(HDB::RFEntry *rf)RFTestbenchGenerator
signalDeclaration_TestbenchGeneratorprivate
signalStream()TestbenchGeneratorprotected
TB_TEMPLATE_TestbenchGeneratorprivatestatic
tbCodeStream()TestbenchGeneratorprotected
testbenchCode_TestbenchGeneratorprivate
TestbenchGenerator()TestbenchGenerator
writeDataArrays(std::ostringstream &stream, PortDataArray &array, int portWidth)RFTestbenchGeneratorprivate
writeStimulusArray(std::ostringstream &stream, std::vector< uint32_t > &dataArray, std::string portName, int portWidth)TestbenchGeneratorprotectedvirtual
writeTbConstants(int totalCycles, int outputIgnoreCycles)TestbenchGeneratorprotected
writeTestbench(std::ofstream &file, HDB::HWBlockImplementation *impl)TestbenchGeneratorprotected
~RFTestbenchGenerator()RFTestbenchGeneratorvirtual
~TestbenchGenerator()TestbenchGeneratorvirtual