OpenASIP  2.0
AlmaIFIntegrator Member List

This is the complete list of members for AlmaIFIntegrator, including all inherited members.

accelInstantiator_AlmaIFIntegratorprivate
addAlmaifFiles()AlmaIFIntegratorprivate
addMemoryPorts(const TCEString as_name, int data_width, int addr_width, const bool isShared, const bool overrideAsWidth)AlmaIFIntegratorprivate
addMemoryPorts(const TCEString as_name, int mem_count, int data_width, int addr_width, int strb_width, const bool overrideAsWidth)AlmaIFIntegratorprivate
addPortToAlmaIFBlock(const TCEString name, const TCEString width, const ProGe::Direction dir, const TCEString core_name="")AlmaIFIntegratorprivate
addPortToAlmaIFBlock(const TCEString name, const int width, const ProGe::Direction dir, const TCEString core_name="")AlmaIFIntegratorprivate
addPortToGroup(ProGe::NetlistPortGroup *port_group, const ProGe::Direction dir, const TCEString name, const TCEString width)AlmaIFIntegratorprivate
addProGeFiles() constPlatformIntegratorprotected
ALMAIF_MODULEAlmaIFIntegratorprivatestatic
almaif_ttacore_portsAlmaIFIntegratorprivate
almaifBlock_AlmaIFIntegratorprivate
AlmaIFIntegrator()AlmaIFIntegrator
AlmaIFIntegrator(const TTAMachine::Machine *machine, const IDF::MachineImplementation *idf, ProGe::HDL hdl, TCEString progeOutputDir, TCEString entityName, TCEString outputDir, TCEString programName, int targetClockFreq, std::ostream &warningStream, std::ostream &errorStream, const MemInfo &imem, MemType dmemType, bool syncReset)AlmaIFIntegrator
AXI_AS_NAMEAlmaIFIntegratorprivatestatic
axiAddressWidth() constAlmaIFIntegratorprivate
axiMasterPortGroup()AlmaIFIntegratorprivate
axiSlavePortGroup()AlmaIFIntegratorprivate
broadcast_pmem_AlmaIFIntegratorprivate
chopSignalToTag(const TCEString &original, const TCEString &tag) constPlatformIntegratorprotected
chopTaggedSignals() constAlmaIFIntegratorvirtual
clearDataMemories()PlatformIntegratorprotected
clkPort_PlatformIntegratorprivate
clockPort() constPlatformIntegrator
connectCoreMemories(MemInfo mem, TCEString mem_name, TCEString mem_block_name, bool seconds)AlmaIFIntegratorprivate
connectToplevelPort(const ProGe::NetlistPort &corePort, const TCEString signalPrefix="")PlatformIntegratorprotectedvirtual
copyPlatformFile(const TCEString inputPath, std::vector< TCEString > &fileList, bool isScript=false) constAlmaIFIntegratorprivate
copyProgeBlockToNetlist(const ProGe::NetlistBlock *progeBlock)PlatformIntegratorprotected
coreEntityName() constPlatformIntegrator
coreEntityName_PlatformIntegratorprivate
createMemories(int coreId)PlatformIntegratorprotectedvirtual
createOutputDir()PlatformIntegratorprivate
DEFAULT_DEVICEAlmaIFIntegratorprivatestatic
DEFAULT_LOCAL_MEMORY_WIDTHAlmaIFIntegratorprivatestatic
DEFAULT_RESERVED_PRIVATE_MEM_SIZEAlmaIFIntegratorprivatestatic
deviceFamily() constAlmaIFIntegratorvirtual
deviceFamily_AlmaIFIntegratorprivate
deviceName() constPlatformIntegratorinline
deviceName_AlmaIFIntegratorprivate
devicePackage() constAlmaIFIntegratorvirtual
deviceSpeedClass() constAlmaIFIntegratorvirtual
dmem_PlatformIntegratorprivate
dmem_dram_AlmaIFIntegratorprivate
DMEM_NAMEAlmaIFIntegratorprivatestatic
dmemCount() constPlatformIntegrator
dmemGen_AlmaIFIntegratorprivate
dmemHandled_AlmaIFIntegratorprivate
dmemInfo(TTAMachine::AddressSpace *as) constPlatformIntegrator
dmemInfo(int index) constPlatformIntegrator
dmemInfo_AlmaIFIntegratorprivate
dmemInstance(MemInfo dmem, TTAMachine::FunctionUnit &lsuArch, std::vector< std::string > lsuPorts)AlmaIFIntegratorprotectedvirtual
dmemType_PlatformIntegratorprivate
errorStream() constPlatformIntegratorprotected
errorStream_PlatformIntegratorprivate
exportUnconnectedPorts(int coreId)AlmaIFIntegratorprivatevirtual
fileGen_AlmaIFIntegratorprivate
findMemories()AlmaIFIntegratorprivate
generateMemory(MemoryGenerator &memGen, std::vector< TCEString > &generatedFiles, int memIndex, int coreId)PlatformIntegratorprotectedvirtual
hasMinimalDebugger_AlmaIFIntegratorprivate
hasPinTag(const TCEString &signal) constPlatformIntegratorprotectedvirtual
hasSeparateLocalMemory_AlmaIFIntegratorprivate
hdl_PlatformIntegratorprivate
idf() constPlatformIntegrator
idf_PlatformIntegratorprivate
imem_PlatformIntegratorprivate
imem_dp_AlmaIFIntegratorprivate
imemGen_AlmaIFIntegratorprivate
imemInfo() constPlatformIntegrator
imemInstance(MemInfo imem, int coreId)AlmaIFIntegratorprotectedvirtual
initAlmaifBlock()AlmaIFIntegratorprivate
initPlatformNetlist(const ProGe::NetlistBlock *progeBlock)PlatformIntegratorprotectedvirtual
integrateCore(const ProGe::NetlistBlock &cores, int coreId)AlmaIFIntegratorvirtual
integrateProcessor(const ProGe::NetlistBlock *progeBlock)AlmaIFIntegratorvirtual
integratorBlock()PlatformIntegratorprotected
integratorBlock_PlatformIntegratorprivate
loadFUExternalPorts(TTAMachine::FunctionUnit &fu) constPlatformIntegratorprivate
lsus_PlatformIntegratorprivate
machine() constPlatformIntegrator
machine_PlatformIntegratorprivate
outputDir_PlatformIntegratorprivate
outputFilePath(TCEString fileName, bool absolute=false) constPlatformIntegrator
outputPath() constPlatformIntegrator
parseDataMemories()PlatformIntegratorprotected
pinTag() constAlmaIFIntegratorvirtual
platformEntityName() constPlatformIntegratorprotected
PlatformIntegrator()PlatformIntegrator
PlatformIntegrator(const TTAMachine::Machine *machine, const IDF::MachineImplementation *idf, ProGe::HDL hdl, TCEString progeOutputDir, TCEString coreEntityName, TCEString outputDir, TCEString programName, int targetClockFreq, std::ostream &warningStream, std::ostream &errorStream, const MemInfo &imem, MemType dmemType)PlatformIntegrator
PMEM_NAMEAlmaIFIntegratorprivatestatic
pmemHandled_AlmaIFIntegratorprivate
pmemInfo_AlmaIFIntegratorprivate
printInfo(std::ostream &stream) constAlmaIFIntegratorvirtual
progeBlock() constPlatformIntegrator
progeFilePath(TCEString fileName, bool absolute=false) constPlatformIntegratorprotected
progeOutputDir_PlatformIntegratorprivate
progeOutputHdlFiles(std::vector< TCEString > &files) constPlatformIntegratorprotected
programName() constPlatformIntegratorprotected
programName_PlatformIntegratorprivate
projectFileGenerator() constAlmaIFIntegratorvirtual
readLsuParameters(const TTAMachine::FunctionUnit &lsu)PlatformIntegratorprotected
resetPort() constPlatformIntegrator
resetPort_PlatformIntegratorprivate
secondDmem_AlmaIFIntegratorprivate
secondDmemAddrw_AlmaIFIntegratorprivate
secondDmemDataw_AlmaIFIntegratorprivate
secondDmemName_AlmaIFIntegratorprivate
secondPmem_AlmaIFIntegratorprivate
secondPmemAddrw_AlmaIFIntegratorprivate
secondPmemDataw_AlmaIFIntegratorprivate
secondPmemName_AlmaIFIntegratorprivate
setDeviceFamily(TCEString devFamily)AlmaIFIntegratorvirtual
setDeviceName(TCEString devName)PlatformIntegratorinline
setSharedOutputDir(const TCEString &sharedDir)PlatformIntegrator
sharedOutputDir_PlatformIntegratorprivate
syncReset_AlmaIFIntegratorprivate
targetClockFrequency() constAlmaIFIntegratorvirtual
targetFrequency_PlatformIntegratorprivate
toplevelBlock() constPlatformIntegrator
TTA_CORE_CLKPlatformIntegratorprotectedstatic
TTA_CORE_RSTXPlatformIntegratorprotectedstatic
ttaCores_PlatformIntegratorprivate
unconnectedPorts_PlatformIntegratorprivate
warningStream() constPlatformIntegratorprotected
warningStream_PlatformIntegratorprivate
writeNewToplevel()PlatformIntegratorprotectedvirtual
~AlmaIFIntegrator()AlmaIFIntegratorvirtual
~PlatformIntegrator()PlatformIntegratorvirtual