OpenASIP  2.0
ProGe::RV32MicroCodeGenerator Member List

This is the complete list of members for ProGe::RV32MicroCodeGenerator, including all inherited members.

addBitsToMap(std::unordered_map< std::string, InstructionBitVector * > instructions, const std::map< std::string, std::string > encodings, std::ofstream &stream) constProGe::RV32MicroCodeGeneratorprivate
addBPorts(const std::string &opName)ProGe::RV32MicroCodeGeneratorprivate
addIPorts(const std::string &opName)ProGe::RV32MicroCodeGeneratorprivate
addRPorts(const std::string &opName)ProGe::RV32MicroCodeGeneratorprivate
addRs1ForwardingConditions(std::map< std::string, std::string > ops, std::unordered_map< std::string, InstructionBitVector * >(ProGe::RV32MicroCodeGenerator::*instructionFunc)(Port *p1, Port *p2) const, std::ofstream &stream) constProGe::RV32MicroCodeGeneratorprivate
addRs2ForwardingConditions(std::map< std::string, std::string > ops, std::unordered_map< std::string, InstructionBitVector * >(ProGe::RV32MicroCodeGenerator::*instructionFunc)(Port *p1, Port *p2) const, std::ofstream &stream) constProGe::RV32MicroCodeGeneratorprivate
addSPorts(const std::string &opName)ProGe::RV32MicroCodeGeneratorprivate
addUJPorts(const std::string &opName)ProGe::RV32MicroCodeGeneratorprivate
bem_ProGe::RV32MicroCodeGeneratorprivate
bOperations_ProGe::RV32MicroCodeGeneratorprivate
busses_ProGe::RV32MicroCodeGeneratorprivate
bypassInstructionRegister_ProGe::RV32MicroCodeGeneratorprivate
connectRF()ProGe::RV32MicroCodeGeneratorprivate
constructBInstructions(Port *src1, Port *src2) constProGe::RV32MicroCodeGeneratorprivate
constructIInstructions(Port *src1, Port *src2) constProGe::RV32MicroCodeGeneratorprivate
constructRInstructions(Port *src1, Port *src2) constProGe::RV32MicroCodeGeneratorprivate
constructSInstructions(Port *src1, Port *src2) constProGe::RV32MicroCodeGeneratorprivate
constructUJInstructions() constProGe::RV32MicroCodeGeneratorprivate
eVariant_ProGe::RV32MicroCodeGeneratorprivate
findBusWidths()ProGe::RV32MicroCodeGeneratorprivate
findConnectedBusses(Connection &rs1, Connection &rs2, Connection &rd, Connection &simm, const bool &forwarding) constProGe::RV32MicroCodeGeneratorprivate
findOperationPorts()ProGe::RV32MicroCodeGeneratorprivate
findOperationSources()ProGe::RV32MicroCodeGeneratorprivate
findRF()ProGe::RV32MicroCodeGeneratorprivate
generateFUTargetProcess(std::ofstream &stream)ProGe::RV32MicroCodeGeneratorprivate
generateMap(const std::string &dstDirectory)ProGe::RV32MicroCodeGeneratorprivate
generateNOP()ProGe::RV32MicroCodeGeneratorprivate
generateOperationLatencyLogic(HDLTemplateInstantiator &instantiator)ProGe::RV32MicroCodeGeneratorprivate
generateRTL(HDLTemplateInstantiator &instantiator, const std::string &fileDst)ProGe::RV32MicroCodeGeneratorvirtual
generateWrapper(HDLTemplateInstantiator &instantiator, const std::string &fileDst)ProGe::RV32MicroCodeGeneratorprivate
hasForwarding_ProGe::RV32MicroCodeGeneratorprivate
initializeOperations()ProGe::RV32MicroCodeGeneratorprivate
iOperations_ProGe::RV32MicroCodeGeneratorprivate
machine_ProGe::RV32MicroCodeGeneratorprivate
mapFunctionUnit(const std::string &operation) constProGe::RV32MicroCodeGeneratorprivate
MicroCodeGenerator()=defaultProGe::MicroCodeGenerator
NOP_ProGe::RV32MicroCodeGeneratorprivate
operationPorts(const std::unordered_map< std::string, BaseFUPort * > &ports) constProGe::RV32MicroCodeGeneratorprivate
pig_ProGe::RV32MicroCodeGeneratorprivate
rdBus_ProGe::RV32MicroCodeGeneratorprivate
rdBusStart_ProGe::RV32MicroCodeGeneratorprivate
rdBusWidth_ProGe::RV32MicroCodeGeneratorprivate
rdPorts_ProGe::RV32MicroCodeGeneratorprivate
rdRFPort_ProGe::RV32MicroCodeGeneratorprivate
rdRFStart_ProGe::RV32MicroCodeGeneratorprivate
RF_ProGe::RV32MicroCodeGeneratorprivate
rOperations_ProGe::RV32MicroCodeGeneratorprivate
rs1Bus_ProGe::RV32MicroCodeGeneratorprivate
rs1BusStart_ProGe::RV32MicroCodeGeneratorprivate
rs1BusWidth_ProGe::RV32MicroCodeGeneratorprivate
rs1Ports_ProGe::RV32MicroCodeGeneratorprivate
rs1RFPort_ProGe::RV32MicroCodeGeneratorprivate
rs1RFStart_ProGe::RV32MicroCodeGeneratorprivate
rs2Bus_ProGe::RV32MicroCodeGeneratorprivate
rs2BusStart_ProGe::RV32MicroCodeGeneratorprivate
rs2BusWidth_ProGe::RV32MicroCodeGeneratorprivate
rs2Ports_ProGe::RV32MicroCodeGeneratorprivate
rs2RFPort_ProGe::RV32MicroCodeGeneratorprivate
rs2RFStart_ProGe::RV32MicroCodeGeneratorprivate
RV32MicroCodeGenerator(const Machine &machine, const BinaryEncoding &bem)ProGe::RV32MicroCodeGenerator
setBypassInstructionRegister(const bool &value)ProGe::RV32MicroCodeGenerator
simmBus_ProGe::RV32MicroCodeGeneratorprivate
simmBusStart_ProGe::RV32MicroCodeGeneratorprivate
simmBusWidth_ProGe::RV32MicroCodeGeneratorprivate
simmPorts_ProGe::RV32MicroCodeGeneratorprivate
simmRFStart_ProGe::RV32MicroCodeGeneratorprivate
sOperations_ProGe::RV32MicroCodeGeneratorprivate
sourceOperationMap_ProGe::RV32MicroCodeGeneratorprivate
sourcePortID_ProGe::RV32MicroCodeGeneratorprivate
throwInputPortError(const BaseFUPort *port, const std::string &type) constProGe::RV32MicroCodeGeneratorprivate
throwOperandCountError(const std::string &op, int required, int found) constProGe::RV32MicroCodeGeneratorprivate
throwOperationNotFoundError(const std::string &op) constProGe::RV32MicroCodeGeneratorprivate
throwOutputPortError(const BaseFUPort *port, const std::string &type) constProGe::RV32MicroCodeGeneratorprivate
throwTriggeringPortError(const BaseFUPort *port, const std::string &type) constProGe::RV32MicroCodeGeneratorprivate
ujOperations_ProGe::RV32MicroCodeGeneratorprivate
validateOperations() constProGe::RV32MicroCodeGeneratorprivate
variableLengthOpLatency_ProGe::RV32MicroCodeGeneratorprivate
~MicroCodeGenerator()=defaultProGe::MicroCodeGenerator
~RV32MicroCodeGenerator()ProGe::RV32MicroCodeGenerator